|Table of Contents|

[1] Wang Qijun, Yan Aibin,. A novel double-node-upset-resilient radiation-hardened latch [J]. Journal of Southeast University (English Edition), 2018, 34 (2): 182-186. [doi:10.3969/j.issn.1003-7985.2018.02.006]
Copy

A novel double-node-upset-resilient radiation-hardened latch()
Share:

Journal of Southeast University (English Edition)[ISSN:1003-7985/CN:32-1325/N]

Volumn:
34
Issue:
2018 2
Page:
182-186
Research Field:
Circuit and System
Publishing date:
2018-06-20

Info

Title:
A novel double-node-upset-resilient radiation-hardened latch
Author(s):
Wang Qijun Yan Aibin
School of Computer Science and Technology, Anhui University, Hefei 230601, China
Keywords:
radiation hardening circuit reliability soft error double-node upset single-node upset
PACS:
TN492
DOI:
10.3969/j.issn.1003-7985.2018.02.006
Abstract:
To effectively tolerate a double-node upset, a novel double-node-upset-resilient radiation-hardened latch is proposed in 22 nm complementary-metal-oxide-semiconductor technology. Using three interlocked single-node-upset-resilient cells, which are identically mainly constructed from three mutually feeding back 2-input C-elements, the latch achieves double-node-upset-resilience. Using smaller transistor sizes, clock-gating technology, and high-speed transmission-path, the cost of the latch is effectively reduced. Simulation results demonstrate the double-node-upset-resilience of the latch and also show that compared with the up-to-date double-node-upset-resilient latches, the proposed latch reduces the transmission delay by 72.54%, the power dissipation by 33.97%, and the delay-power-area product by 78.57%, while the average cost of the silicon area is only increased by 16.45%.

References:

[1] Ferlet-Cavrois V, Massengill L W, Gouker P. Single event transients in digital CMOS—A review [J].IEEE Transactions on Nuclear Science, 2013, 60(3): 1767-1790. DOI:10.1109/tns.2013.2255624.
[2] Huang Z F, Liang H G, Hellebrand S. A high performance SEU tolerant latch [J]. Journal of Electronic Testing, 2015, 31(4): 349-359. DOI:10.1007/s10836-015-5533-5.
[3] Lin S, Kim Y B, Lombardi F. Design and performance evaluation of radiation hardened latches for nanoscale CMOS [J]. IEEE Transactions on Very Large Scale Integration Systems, 2011, 19(7): 1315-1319. DOI:10.1109/tvlsi.2010.2047954.
[4] Nan H Q, Choi K. High performance, low cost, and robust soft error tolerant latch designs for nanoscale CMOS technology [J]. IEEE Transactions on Circuits and Systems I: Regular Papers, 2012, 59(7): 1445-1457. DOI:10.1109/tcsi.2011.2177135.
[5] Fazeli M, Patooghy A, Miremadi S, et al. Feedback redundancy: A power efficient SEU-tolerant latch design for deep sub-micron technologies [C]//37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks. Edinburgh, UK, 2007: 276-285. DOI:10.1109/dsn.2007.51.
[6] Namba K, Sakata M, Ito H. Single event induced double node upset tolerant latch [C]//IEEE 25th International Symposium on Defect and Fault Tolerance in VLSI Systems. Kyoto, Japan, 2010: 280-288. DOI: 10.1109/DFT.2010.41.
[7] Watkins A, Tragoudas S. Radiation hardened latch designs for double and triple node upsets [J]. IEEE Transactions on Emerging Topics in Computing, 2018, to appear. doi:10.1109/TETC.2017.2776285.
[8] Qi C H, Xiao L Y, Guo J, et al. Low cost and highly reliable radiation hardened latch design in 65 nm CMOS technology [J]. Microelectronics Reliability, 2015, 55(6): 863-872. DOI:10.1016/j.microrel.2015.03.014.
[9] Katsarou K, Tsiatouhas Y. Soft error interception latch: double node charge sharing SEU tolerant design [J]. Electronics Letters, 2015, 51(4): 330-332. DOI:10.1049/el.2014.4374.
[10] Li Y, Wang H B, Yao S, et al. Double node upsets hardened latch circuits [J]. Journal of Electronic Testing, 2015, 31(5/6): 537-548. DOI:10.1007/s10836-015-5551-3.
[11] Eftaxiopoulos N, Axelos N, Pekmestzi K. DONUT: A double node upset tolerant latch [C]// IEEE Computer Society Annual Symposium on VLSI. Montpellier, France, 2015: 509-514.
[12] Eftaxiopoulos N, Axelos N, Zervakis G, et al. Delta DICE: A double node upset resilient latch [C]//IEEE International Midwest Symposium on Circuits and Systems. Colorado, USA, 2015: 1-4.
[13] Yan A B, Huang Z F, Yi M X, et al. Double-node-upset-resilient latch design for nanoscale CMOS technology[J]. IEEE Transactions on Very Large Scale Integration Systems, 2017, 25(6): 1978-1982. DOI:10.1109/tvlsi.2017.2655079.
[14] The Nanoscale Integration and Modeling(NIMO)Group at ASU. Predictive technology model(PTM)[EB/OL].(2011-06-01)[2017-06-12].http://ptm.asu.edu/.

Memo

Memo:
Biographies: Wang Qijun(1983—), male, doctor, senior engineer, 59974156@qq.com; Yan Aibin(1983—), male, doctor, lecturer, abyan@mail.ustc.edu.cn.
Foundation items: The National Natural Science Foundation of China(No.61604001), the Doctor Startup Fund of Anhui University(No.J01003217).
Citation: Wang Qijun, Yan Aibin. A novel double-node-upset-resilient radiation-hardened latch[J].Journal of Southeast University(English Edition), 2018, 34(2):182-186.DOI:10.3969/j.issn.1003-7985.2018.02.006.
Last Update: 2018-06-20